• No results found

Clock and Data Recovery for Serial Digital Communication

N/A
N/A
Protected

Academic year: 2021

Share "Clock and Data Recovery for Serial Digital Communication"

Copied!
103
0
0

Loading.... (view fulltext now)

Full text

(1)

Clock and Data Recovery for Serial

Digital Communication

(plus a tutorial on bang-bang Phase-Locked-Loops )

Rick Walker

Hewlett-Packard Company

Palo Alto, California

(2)

Agenda

Overview of serial data communications

Degradation mechanisms, data coding

Clock recovery methods and components

Jitter measurements

Break

BB PLL Theory

Simulation techniques

1st, 2nd order loops

Key design parameters

(3)

Diversity of CDR applications

Clock and Data Recovery (CDR) applications span the

range from ultra-high-volume, low cost datacom

applications to very high precision, long-haul telecom

applications

Many different trade-offs tailor each circuit to the target

application area

1.25Gb/s Gigabit

Ethernet Transceiver

<$6 in volume

(datacom application)

2.488Gb/s SONET

CDR ~$400 (telecom

application)

1cm

3

(4)

Serial data transmission sends binary bits of

information as a series of optical or electrical pulses:

0111011000111110011010010000101011101100011111..

The transmission channel (coax, radio, fiber) generally

distorts the signal in various ways:

From this signal we must recover both clock and data

Basic Idea

(5)

Bit Error Rate (BER) Testing

Pseudo-Random-Bit-Sequence (PRBS) is used to

simulate random data for transmission across the link

PRBS pattern 2

N

-1 Bits long contains

all N-bit patterns

Number of errored-bits divided by total bits = BER.

Typical links are designed for BERs better than 10

-12

PRBS

data

generator

TX

RX

synth

link

PRBS

data

receiver

clock in

clock in

5

(6)

Eye diagram construction

random

data

TX

RX

synth

trigger

link

scope

X

X

Y

Y

symbol cell (UI)

amplitude

distribution at Y-Y

jitter

Use a precise clock to chop the data

overlay each period onto one plot

into equal periods

(7)
(8)

Some Signal Degradation Mechanisms

Multiplex Jitter

AC Coupling

Optical Pulse Dispersion

Skin Loss

Random Noise

E+O Crosstalk

Intersymbol Interference

(9)

Multiplex Jitter

high speed data

bit stuffing events

sub-rate data

phase error

[in UI]

time

Multiplex jitter is not a problem on the high rate channel

itself - it only occurs on non-synchronous, lower speed

tributaries that have been sent over the high-speed

channel (e.g.: DS3 over SONET OC-48).

(10)

Time/Voltage aberrations from AC-coupling

V

V

t

t=0

t=t

1

Percent AC coupled droop is

.

Jitter is introduced by finite slope of pulse rise/fall time:

P

V

V

t

V

---

×

100

t

1

RC

---

×

100

t

t

r

t

1

2RC

(

)

---=

t

9

(11)

clock

Output Data

(models ideal

TX waveform)

TX

RX

AC-Coupled Transmission Link

Feedback voltage models missing DC information

H

( )

ω

1

H

( )

ω

D Q

Quantized Feedback

(12)

Skin Loss and Dielectric Loss

Nearly all cables are well modelled by a product of Skin Loss

, and Dielectric Loss

with

appropriate k,l factors. Dielectric Loss dominates in the

multi-GHz range. Both plot as straight lines on log(dB) vs log(f) graph.

S f

( )

=

10

( )

k

f

D f

( )

=

10

( )

l

f

Three-element equivalent

circuit of a conductor with

skin loss

1k

freq (log scale)

10G

1.0

0.0

linear amplitude

k=.00001

k=.0001

k=.001

R1

L2

L3

R3

R2

Ring 3

Ring 2

Ring 1

[YFW82]

11

(13)

Skin Loss Equalization at Receiver

0

1

pure skin

loss

0.0

1.0

1k

freq (log)

10G

tr

ansmission (linear scale)

3dB boost

1.4

2x improvement in

maximum usable

bit-rate

equalized

0.5

[WWS92]

12

(14)

Skin Loss Equalization at Transmitter

boost the first pulse after every transition

[FMW97]

Error at sampling pt.

usable signal

before

after

(15)

Decision Threshold Generation

To minimize bit-error rate, the decision threshold X-X

must centered in the signal swing. Two common ways of

automatically generating threshold voltage are:

Peak detection of signal extremes, limited run-length

required

Decision threshold = signal average, balanced signal

required

positive peak detector

negative peak detector

Signal

Decision

Threshold

Signal

Low-Pass Filter

Decision

Threshold

(16)

Code Disparity

Disparity is defined as N

high

- N

low

in past transmitted signal

+5

-5

0

encoded data signal

signal disparity

In an

unbalanced code the disparity can grow

without limit. e.g.: 4B5B code of FDDI

In a

balanced code, the disparity is limited to a

finite worst case value. e.g.: 8B10B of

FibreChannel

15

(17)

Coding for Desirable Properties

DC balance, low disparity

Bounded run length

High Coding Efficiency

Spectral Properties (decrease HF and/or DC

component)

Many Variations are Possible!

Manchester [San82]

mB/nB [Gri69][Rou76][WiF83] [YKI84] [Pet88]

Scrambling [CCI90]

CIMT [WHY91], Conservative Code [Ofe89]

(18)

Simple 3B/4B code example

3B Input Data

4B Output Data

Even Words

Odd Words

000

0011

001

0101

010

0110

011

1001

100

1010

101

1100

110

0100

1011

111

0010

1101

SyncA

0111

1110

SyncB

1000

0001

Maximum Runlength is 6

Coding Efficiency is 4/3

Sending Sync Sequence:

SyncA(even), SyncA(odd),

SyncB(even), SyncB(odd)

allows the unambiguous

alignment of 4-bit frame

(19)

Scrambling

Uses a feedback shift register to randomize data

-reversing process at receiver restores original data

1

2

j

n

1

2

j

n

Shift Register

XOR

Clk

Data Input

Data Output

Scrambled Data

PRBS Generators

Caveat: Only guarantees balance and

run-length under very specific data conditions!

(20)

-3T

-2T

-T

0

T

2T

3T

4T

-3T

-2T

-T

0

T

2T

3T

4T

unit

interval

Impulses spaced equally in time (jitter free signal)

Impulses spaced irregularly in time (jittered signal)

Errors treated as discrete samples of continuous time jitter

time

19

After Trischitta and Varma: “Jitter in Digital Transmission Systems”

(21)

Spectrum of NRZ data

variations due to DC balance strategy

1 2T

1 T

3 2T

2 T

f

=

0

2

π

fT

(

)

sin

2

π

fT

---missing clock

frequency

po

w

er in dB

20

(22)

NRZ and RZ signalling

NRZ = “non return to zero” data

RZ = “return to zero” data

+

+

+

+

+

+

+

+

+

+

neither clock nor

data frequency in

spectrum

clock, but no data

frequency in

spectrum

data frequency

clock frequency

T

NRZ signalling is almost universally used.

(23)

Filter Method Examples

d dt

X

2

bandpass

filter

bandpass

filter

delay

NRZ Data Input

Reco

v

ered Cloc

k Output

e.g.: SAW filter

LC tank

non-linear element

(this last circuit can be thought of as an NRZ-RZ converter)

[Yam80][YTY80]

[RFC84][Ros84]

[FHH84][AFK87]

(24)

Summary of Filter Method

Retimed Data

Jittered NRZ Data Signal

d dt

X

2

filter/limiter

bandpass

D Q

Con:

Temperature and frequency variation

of filter group delay makes sampling

time difficult to control

Narrow pulses imply high f

T

Hi-Q filter difficult to integrate

Pro:

Very simple to implement

Can be built with

microwave “tinkertoys”

using coax to very high

frequencies

τ

(25)

Q-Factor in resonant circuits

Voltage envelope of ringing circuit falls to 1/sqrt(e) in Q

radians.

1.0

1.0/sqrt(e)

Q/2*PI cycles

F

center

Q also equals the center

frequency of a filter divided by

the full-width of the resonance

measured at the half power

points:

F

center

/

amplitude

High-Q filter can be emulated by PLL with low loop B.W.

(26)

Phase

Detector

Low-pass

Loop Filter

Voltage

Controlled

Oscillator

D

Retimed

Data

Jittered Data

Signal

PLL

Q

25

(27)

x t

( )

A

cos

ω

c

t

=

x t

( )

A

ω

c

t

+

φ

( )

t

[

]

cos

=

Perfect Clock:

Jittered Clock:

is then treated as a continuous time signal

φ

( )

t

After Behzad Razavi: “Monolithic Phase-Locked Loops, ISSCC96 Tutorial”

26

(28)

Phase

Detector

Loop

Filter

VCO

K

φ

1

s

---K

v

β

1

1

+

s

τ

(

)

---+

Warning: Extra Integration in loop makes for tricky design!

27

See Floyd M. Gardner, “Phaselock Techniques”, John Wiley and Sons, for good introduction to PLL theory

(29)

28

K

v

s

---K

φ

β

1

1

+

s

τ

(

)

---+

a

b

c

c/a

c/b

open loop gain

10k

100k

1M

10M

100M

1G

10G

1k

0dB

40dB

80dB

-40dB

-80dB

(input

data jitter)

(30)

Phase Detectors

Phase detectors generate a DC component proportional

to deviation of the sampling point from center of bit-cell

Phase detectors are:

Binary quantized phase detectors are also called

“Bang-bang” phase detectors

0

°

90

°

180

°

90

°

180

°

Continuous

Binary Quantized

(31)

[Hog85][Shi87]

D Q

D Q

UP

DOWN

Data

Clock

Data

1 = Data...

2= Clock (Early)...

3 = 1 retimed...

4 = Clock...

5 = 3 retimed...

6 = 1 xor 3 (UP)...

7 = 3xor 5 (DOWN)

“Self-Correcting Phase Detector”

(32)

Binary Quantized Phase Detector

NRZ data is sampled at each bit cell and near the

transitions of each bit cell

The sign of the transition sample is compared with the

preceeding and following bit cell sample to deduce the

phase error

A T B

D Q

D Q

D Q

D Q

A

T

B

Data

Clock

A

T

B

Output

0

0

0

tristate

0

0

1

vco fast

0

1

0

?

0

1

1

vco slow

1

0

0

vco slow

1

0

1

?

1

1

0

vco fast

1

1

1

tristate

[Ale75][WHY91][LaW91][ReG73]

31

(33)

D Q

simplified schematic symbol:

clock

Decision Circuit

Quantizes amplitude at precise sample instant

Typically uses positive feedback to resolve small input

signals

A master/slave D-flip-flop carefully optimized for input

sensitivity and clock phase margin is a common choice

Latches data on the rising edge of clock signal

(34)

Example Bipolar Decision Circuit

data in

clock in

Vbias

data out

-5V

master latch

slave latch

gnd

many clever optimizations are possible

[OhT83][Con84][Lai90][Run91][Hau91][Run91]

(35)

Loop Filters

UP

DOWN

V

OUT

UP

DOWN

V

OUT

0

0

tristate

0

1

ramp DOWN

1

0

ramp UP

1

1

tristate

[Den88] [Dev91]

[LaW91] [WuW92]

should have provision for holding value constant

(tri-stating) under long run-length conditions

may be analog (integrator) or digital (up-down

counter) - but

watch out for metastability!

(36)

Metastability

T

V

ε

D Q

slope = dv/dt

For uniform clock jitter, and a latch “danger zone” of ,

the metastability probability

, is

.

ε

p

metastability

ε

T

---

dt

dv

---⋅

35

(37)

Regeneration time constant

τ

time [ns]

0.0

0.5

-1.0 0.0

1.0

2.0

3.0

0.0

-8.0

v

diff

log

10

(v

diff

)

v

olts

log10(v

olts)

v

v

diff

A small voltage

ε

is forced on latch, until t=0. Differential

voltage

grows as

. For a given

and ,

the regeneration time required is

.

V

diff

ε

e

t

τ

V

min

ε

(38)

SPICE tip:

current-controlled R/switch

# SPICE time variable resistor.

# the resistance between %in and %out is numerically

# equal to the current pulled out of %ic

.SUBCKT tvres %in %out %ic

h1 %inx %outx poly(2) vx vc 0 0 0 0 1

rdamp %out %outx 0.001

vx %inx %in 0

vc %ic 0 0

.ENDS

in

out

i

in

ic

R = i

in

* 1

Ω/Α

ic

vc

vx

in

h1

out

v(h1)=i(vx)*i(vc)*1

Ω/Α

36a

(39)

VCO alternatives

[Cor79, Ena87, Wal89, DeV91, Lam93, WKG94]

LC Oscillator

Multivibrator

Ring Oscillator

Speed

Technology Dependent 1-10’s of GHz, CMOS 1-2 GHz

Phase Noise

Good

Poor

Integration

Poor

(L, Varactor)

Excellent

Tunability

Narrow/Slow

Wide/Fast

Stability

Good

Poor

(needs acquisition aid)

Other

Multi-Phase

Clocks

(40)

Multivibrator VCO

I

tune

Capacitor is alternately charged and

discharged by constant current

Tuned by varying I

tune

in current source

Diode clamps keep output voltage

constant independent of frequency

Relies on non-linear switching for

oscillation behavior, and so is limited to

moderate frequencies.

Frequency =

I

tune

4CV

be

(41)

Example Ring Oscillator VCO

Input 1

Input 2

Output

[SyA86]

[EnA87]

[Wal89]

Input 1

Tune

Input 2

Tune

Input 1

Input 2

Output

Output

39

(42)

VCO injection locking (problem)

40

τ

Vtune

Vcc

Noise coupling back to VCO

Noise glitch

propagation delay

τ

high VCO

nom VCO

slow VCO

delayed

glitch

most VCO’s

sample the tune

voltage once per cycle - down

converting the system noise.

PLL: F

osc

α

V

tune

α θ

error

ILO: F

osc

α

V

tune

α θ

error

VCO can

injection lock to its own

delayed signal more rapidly than

to input data!

(43)

VCO injection locking (a solution)

41

Decompose the

loopfilter pole/zero

into two separate

tuning inputs:

a wide range input

with very low

bandwidth

a narrow tuning

range input with

wide BW.

this greatly reduces

effect of VCO noise

on tuning curve.

Σ

VCO

low gain, wide BW

(44)

False or Harmonic Locking to Data

42

data

clock

4/3 clock

2x clock

1/2 clock

correct

early

late

correct

early/late indications

cancel in loop filter,

leaving an attenuated,

but possibly stable lock

signal.

(45)

Aided Acquistion

Tricky task due to Nyquist sampling constraints caused

by stuttering data transitions

Still subject to false lock if VCO range is too wide

PD

loop filter 1

loop filter 2

FD

VCO

Input Data

43

(46)

Training Loops

1/256

VCO

State

Machine

PDET

FDET

SEL

charge pump

Input

Data

Reference Clock

flock

dlock dtrans

LOS

Clock/256

Clock

2.488GHz/256

divider

bang-bang drive

retimed data

An increasingly common technique is to provide a

reference clock to the CDR circuit. This allows the VCO

process-variation to be dynamically trimmed out, avoiding

false locking problems.

[WSY97]

(47)

Phasor Diagram

Graph of relative phase between clock and data

Each complete rotation is 1 unit interval of phase slip

Rotations/second = frequency error (in Hz)

90

°

0

°

270

°

180

°

Plot of data transitions

versus VCO clock phase.

Data at 1/2, or VCO at 2x,

the proper frequency look

locked. This puts a limit on

VCO tolerance to prevent

false locking.

= missing transitions

= actual transitions

45

d

θ/

dt =

F

(48)

Example Lock Detector

90

°

0

°

270

°

180

°

D

D

Q

Q

ideal data eye

noisy data eye

clock a,b

data

Raw out-of lock

indication

[WSY97]

(49)

20G

10G

5G

2G

1G

500M

200M

100M

50M

20M

1988

1990

1992

1994

1996

1998

4X

10X

8X

2X

8x

Si Bipolar T

rend (0.05-0.4 f

T

)

CMOS T

rend (0.05-0.4 eff

ectiv

e f

T

)

20M

10M

5M

2M

1M

500K

200K

100K

50K

20K

Ser

ial Link Speed

Inter

net Host Count

Si Bipolar

CMOS

CDR

only

Year of Publication (ISSCC)

Inter

net Host Count

Communication Trends

47

?

(50)

Multiphase Receiver Block Diagram

f

T

-doubler

data amplifier

phase-detector/

state

machine

multi-phase clock generator

(VCO + interpolator)

4:16 demultiplexer

Data input

loop

filter

LOS

16

data

clock

Reference Clock

2.5GHz/128

0

o

90

o

180

o

270

o

45

o

22

o

67

o

D

Q

D

Q

D

Q

D

Q

D

Q

D

Q

D

Q

0

1

2

3

[WHK98]

48

(51)

DLL vs PLL which is “best”?

DLLs do not filter input reference jitter, but do not

accumulate VCO phase errors - best for clock

synthesizers running from clean reference.

PLLs can have higher phase noise because of multiple

passes through the delay gates of VCO, however is able

to filter noisy input signals.

49

τ

delayline

Degraded clock period

ck

ck

1

2 3

4

1

2

3

4

1

2

3

[KWG94]

(52)

Jitter Measurements

SONET has the most complete set of jitter

measurement standards, but the techniques are

useful and relevant for datacom applications also

Jitter Tolerance

Jitter Transfer

Jitter Generation

(53)

Jitter Tolerance Test Setup

sine wave

generator

retiming

circuit

bit error

rate tester

decision

circuit

xamp +

limiter

data

generator

FM

modulated

clock

laser

transmitter

optical

receiver

optical

attenuator

At each frequency, the sinewave

modulation amplitude is increased until

the BER penalty is equal to that

caused by 1dB optical attentuation

(54)

SONET Jitter Tolerance Mask

Data Rate

f

0

[Hz]

f

1

[Hz]

f

2

[Hz]

f

3

[kHz]

f

t

[kHz]

155 Mb

10

30

300

6.5

65

622 Mb

10

30

300

25

250

2.488 Gb

10

600

6000

100

1000

10 Gb

?

?

?

400

4000

f

0

f

1

f

2

f

3

f

t

15 UI

1.5 UI

0.15 UI

acceptable

range

(55)

Jitter Transfer Measurement

retiming

circuit

decision

circuit

data

generator

network

analyzer

clock

Signal

Generator

IN

OUT

ϕ

Phase

detector

Phase

modulator

D.U.T.

[TrV89] [RaO91]

53

(56)

Jitter Transfer Specification

f

c

P[dB]

slope = -20 dB/decade

acceptable

range

Data Rate

f

c

[kHz]

P[dB]

155 Mb

130

0.1

622 Mb

500

0.1

2.488 Gb

2000

0.1

This specification is

intended to control

jitter peaking in long

repeater chains

(57)

Jitter Generation

retiming

circuit

computer

decision

circuit

S.U.T.

spectrum

analyzer

recovered

clock

55

(58)

Jitter Generation (cont.)

cloc

k amplitude

sideband

resultant

∆Θ

1) Measure Jitter Sidebands around Clock

2) Multiply Jitter components by Filter Mask

3) RMS sum total noise voltages over band

4) Convert RMS noise voltage to RMS jitter

Jitter

pp rads

(

)

=

2

∆Θ

2

V

sideband

V

clock

---

atan

OC-48 (2.488 Gb/s SONET) specifies 12 kHz hipass filter,

and maximum 0.01 UI RMS integrated jitter.

(59)

Why bother with a BB loop?

it may be difficult to maintain optimum sampling point

with traditional PD/PLL or with filter method over

process, temperature and supply variation

Narrow pulses of linear PD’s may not work well at

extremely high bit rates

for monolithic implementation, BB PD has excellent

match between retiming latch and PD latch - allows

for operation at highest latch toggle frequency

57

D Q

D Q

D Q

D Q

A

T

B

data

clk

D Q

PD

filter

VCO

data

(60)

Simple first-order BB loop

D

Q

VCO

VCO runs at two discrete frequencies:

.

Phase error is evaluated at a discrete time interval

. In the general case, this can be considered

approximately equal to mean transition time of the

data.

f

nom

±

f

bb

t

update

t

update

(61)

How to simulate a loop?

SPICE (boolean & polynomial)

timestep simulator [FLS63]

event driven simulator [Mac87]

actual hardware

The need for

fast

simulation

understand the design space

check corner cases

build intuition

(62)

Efficient Simulation Strategy

Simulating VCO

waveform is unnecessary to accurately

model ideal PLL behavior.

Only frequency and phase is needed.

Model all circuit time-varying state variables as voltages.

Convert between frequency and phase variables with

explicit integration block.

(63)

Model of First-order Loop

Σ

Σ

v

d

t

K

vco

node:

F

in

F

∆θ

1

Θ

error

bbtune

F

vco

unit:

Hz

Hz

UI

UI

V

Hz

φ

mod

f

sample

F

in

(64)

for (simtime=STARTTIME; simtime<=STOPTIME; simtime+=stepsize) {

update(); /* update nodes each tstep */

if (simtime-SAVETIME >= savestep*points_plotted) {

output();

points_plotted++;

}

/* swap pointers to avoid copying data arrays */

temp = nodeold;

nodeold = node;

node = nodenew;

nodenew = temp;

}

The simulator main loop

0

1 2

N

2N

3N

nodeold

node

nodenew

(65)

void update() /* this routine responsible for updating node[] */

{

fin(1,FIN,FSTEP,STEPTIME); /* vo, f, fs, t0 */

difference(1,8,2); /* plus, minus, out */

freq_to_phase(2,3); /* in, out */

sing(11,PHIFREQ,180.0*PHIDEV); /* phase modulation input */

difference(3,11,4); /* in+, in-, output */

sample(4,6,UTIME,VPHI,0.0); /* in, out, utime, swing, err */

rcfilter(6,7,TAU1);

/* in, out, tau */

vco(7,8,FVCO,FDEL); /* in, out, nom, del */

}

notice similiarity to SPICE deck (numbered nodes)

input “deck” parsing done by C-compiler

user must assign nodes manually

The update() routine

(66)

void difference(plus, minus, out) /* output node = plus - minus */

int plus, minus, out;

{

nodenew[out] = node[plus] - node[minus];

}

void sing(out, freq, ampl) /* a sinusoidal voltage source */

int out;

double freq,ampl;

{

nodenew[out] = ampl*sin(2.0*M_PI*simtime*freq);

}

difference() and sine generator code

(67)

void rcfilter(in, out, tau) /* a single pole rc filter */

int in, out;

double tau;

{

double temp1, temp2;

/* Implements discrete diff. eqn:

Vout = Vin - (tau * dVout/dt)

where dVout = nodenew[out]-node[out] */

temp1 = node[in] + tau*(node[out])/stepsize;

temp2 = 1 + tau/stepsize;

nodenew[out] = (temp1/temp2);

}

RC-filter implementation

v

in

v

out

I = C dv/dt

R

65

(68)

void freq_to_phase(in, out) /* performs true integral of input */

int in, out; /* scaled by factor of 360. This */

/* gives output of 1 volt/degree */

{

double chunk; /* new integrated portion of signal */

chunk = (180.0 * (nodeold[in] + node[in]) * stepsize);

nodenew[out] = node[out] + chunk;

# now wrap it into the range of -180 to +180 degrees

if (nodenew[out] > 180)

nodenew[out] = nodenew[out]-360;

if (nodenew[out] < -180)

nodenew[out] = nodenew[out]+360;

}

The freq_to_phase() block

(69)

Lock Range for 1st-order loop

MHz

Deg

rees

time (

µ

seconds)

06:52Aug 1998

2490.0

2484.0

5.0

10.0

15.0

0.0

-200.0

200.0

vcofreq

phierr

fin

67

(70)

1st-order loop: locked region

MHz

Deg

rees

time (

µ

seconds)

06:52Aug 1998

2485.0

2490.0

8.0

10.0

12.0

0.0

-40.0

40.0

phierr

fin

vcofreq

68

(71)

1st-order loop: slew-rate limiting

MHz

Deg

rees

Deg

rees

time (

µ

seconds)

05:32Jul 1998

2490.0

2486.0

0.0

-200.0

5.0

6.0

7.0

8.0

0.0

-100.0

fin

vcofreq

dphi1

phimod

phierr

69

(72)

Summary of 1st-order loop

Lock range:

.

Jitter (peak to peak):

.

Maximum amplitude of phase modulation at frequency

before onset of slew-rate limiting:

If locked, then the duty cycle

, must result in the

average loop frequency being equal to the input

frequency

,

Phase detector average duty cycle

, given by

(proportional to

).

f

nom

+

f

bb

(

)

<

f

c

<

(

f

nom

f

bb

)

J

pp

2

360 t

update

f

bb

f

mod

C

f

c

f

c

=

f

nom

+

f

=

C f

(

nom

+

f

bb

)

+

(

1

C

)

(

f

nom

f

bb

)

C

1

2

---

f

2 f

bb

(

)

---+

f

70

(73)

Observations

Jitter generation, Lock range, and Jitter tolerance are all

inconveniently controlled by one parameter,

.

Phase detector average duty-cycle is proportional to

frequency error.

Strategy: Use the average duty cycle to control loop

center frequency. This decouples the lock range from

jitter tolerance/generation giving more design freedom.

f

bb

(74)

2nd-order BB loop

D

Q

VCO

1

τ

---

v

d

t

Integral branch

Proportional (BB) branch

K

vco

β

Σ

V

φ

72

(75)

2nd-order loop step response

t

update

pd output

BB frequency change

BB phase phase change

Integrator path frequency change

Integrator path phase change

V

φ

V

φβ

K

v

V

φβ

K

v

t

V

φ

K

v

t/

τ

V

φ

K

v

t

2

/2

τ

73

(76)

Stability Factor

ξ

t

update

phase change from BB path

phase change from integral path

To quantify the relative independance of the two feedback

loops, take ratio of phase change from BB path to the

phase change of the integral path:

ξ

∆θ

bb

∆θ

int

---≡

β

V

φ

K

v

t

V

φ

K

v

t

2

( )

2

τ

---

2

βτ

t

update

---=

=

74

(77)

structural evolution of 2nd-order loop

Σ

Σ

v

d

t

K

v

φ

mod

f

sample

F

in

1

τ

---

v

d

t

β

Σ

Σ

v

d

t

K

v

φ

mod

F

in

Σ

f

sample

1

τ

---

v

d

t

Σ

β

K

v

v

d

t

F

∆θ

1

∆θ

2

∆θ

3

F

int

θ

bb

V

φ

75

(78)

2nd-order loop: small step in F

time (

µ

seconds)

2490.0

2487.0

0.0

40.0

4.0

5.0

6.0

7.0

0.0

-2.0

2.0

3 3 1 1 1 1 1 1 1 1 1 1 1 1

F

in

F

int

∆θ

1

θ

bb

∆θ

3

V

φ

MHz

deg

rees

v

olts

76

(79)

2nd-order loop: large step in F

time (

µ

seconds)

2500.0

2480.0

0.0

400.0

4.0

5.0

6.0

7.0

0.0

-2.0

2.0

F

in

F

int

θ

bb

∆θ

3

∆θ

1

V

φ

MHz

deg

rees

v

olts

77

(80)

2nd-order loop: phase jitter tracking

time (

µ

seconds)

0.0

-100.0

100.0

0.0

-50.0

50.0

4.0

5.0

6.0

7.0

0.0

-2.0

2.0

1 1 1 1 1 2 2 2 2 2 2 3 3 3 2

∆θ

1

φ

mod

∆θ

2

∆θ

3

θ

bb

∆θ

2

V

φ

deg

rees

deg

rees

v

olts

78

(81)

2nd-order loop: slope overload

time (

µ

seconds)

0.0

-200.0

200.0

0.0

-100.0

100.0

4.0

5.0

6.0

7.0

0.0

-2.0

2.0

1

V

φ

∆θ

1

φ

mod

∆θ

2

∆θ

2

∆θ

3

deg

rees

deg

rees

v

olts

79

θ

bb

(82)

normalized

∆Σ

form of 2nd-order loop

pull integrators through the summing node

normalize update interval to 1

let

β

K

v

V

φ

= f

bb

substitute in definition for

ξ

Σ

v

d

t

F

in

t=0,1,2...

Σ

f

bb

F

∆θ

v

d

t

2 f

bb

ξ

---1st-order

∆Σ

on

F

±1

80

(83)

∆Σ

linear system analogy for bb-loop

H(z)

Σ

Σ

Q(z)

X(z)

Y(z)

(integration)

Y z

( )

H z

( )

1

+

H z

( )

--- X z

( )

1

1

+

H z

( )

---Q z

( )

+

=

gain

freq

gain

freq

[Hau91b]

[Gal95]

81

(84)

solve for slope overload

Σ

1

s

---F

in

Σ

f

bb

F

∆θ

2 f

bb

ξ

---1

s

---•

Slew rate limiting occurs when

F

>

f

bb

Maximum input phase modulation in UI, normalized

to

∆θ

bb

is

s

2

s

2

.

ξ

---+ ---+

s

3

s

2

+

(

)

82

(85)

slope overload limit vs

ξ

1

µ

10

µ

100

µ

1m

10m

0.1

1

10

jitter frequency * tupdate

0.1

10

1k

100k

10M

1G

100G

max jitter bef

ore S

.R.L [nor

maliz

ed to

∆θ

BB

]

ξ=0.1

ξ=1

ξ=10

ξ=100

ξ=1000

s

2

s

2

ξ

---+ ---+

s

3

s

2

+

(

)

simulation

points shown

are from numerical

(86)

jitter generation in frequency-domain

∆Σ

approximation justifies replacing BB phase detector

with a noise source.

Combine total loop phase noise by combining each

phase noise source in RMS fashion.

84

Σ

β

+

s

---

1

τ

K

v

s

---

Σ

Σ

source

phase

noise

BB phase noise

VCO open loop phase noise

output

of form: Asin(x)/x

H s

( )

K

v

s

---=

β

1

s

τ

---+

(87)

example jitter generation calculation

-120

-100

-80

-60

-40

-20

0

-140

-130

-120

-110

-100

-90

-80

1k

10k

100k

1M

10M

100M

1G

-140

-130

-120

-110

-100

-90

-80

dB

dBc/Hz

dBc/Hz

1

1

+

H s

( )

---

H s

( )

1

+

H s

( )

---vco phase noise

source

phase noise

bb phase noise

computed phase noise

measured phase noise

(88)

The ultimate in simulator speed

Compute precise transient response of system at

discrete update times with Laplace transforms.

f

bb

2 f

bb

ξ

s

---1

±

s

---v

c

s

---2 f

bb

ξ

s

---Σ

f

v

s

---Φ

(

t

+

1

)

Φ

( )

t

±

b

b

2

bb

ξ

---

(

earlylate

)

0

t

±

bb

---

ξ

+

=

86

(89)

simulator core loop

for (cycle=1; cycle<=numpoints; cycle++) {

data_phase = gauss()*jitter;

vco_phase += direction*bangbang;

vco_phase += 2.0*loop_filter*bangbang/psi;

vco_phase += direction*bangbang/psi;

printf(“%d %g\n”, cycle, vco_phase); fflush(stdout);

direction = (vco_phase >= data_phase) ? (-1) : (1);

loop_filter += direction;

}

(90)

gaussian jitter generation & gain vs

ξ

1m

10m 0.1

1

10

100

1k

10k

100k

1M

RMS input jitter [normalized to

θ

BB

]

0.1

1

10

100

1k

10k

100k

1M

10M

RMS output jitter [nor

maliz

ed to

θ

BB

]

ξ

= 1e-06

ξ

= 1e-05

ξ

= 1e-04

ξ

= 0.001

ξ

= 0.01

ξ

= 0.1

ξ

= 1

J

idle

= 0.6+(1.65/

ξ

)

J

lin

= 2*J

in

/(1+sqrt(

ξ)

)

J

walk

= 0.7*sqrt(J

in

)

J

tot

= J

idle

+ J

lin

+J

walk

ξ

= 10

88

(91)

Stability with run-length & latency

0

ε

1

0

ε

2

/

ξ

- S

ε

Slope(t=0) = S

For bounded convergence and stable operation, the

overshoot

1

must be less than or equal to the

undershoot

0

. This condition is guaranteed if

ξ > 2ε

is the loop update latency normalized to t

update

)

(92)

Effect of BB/charge-pump tristating

90

timestep [normalized to bit time]

-15

-10

-5

0

5

10

15

jitter [nor

maliz

ed to

θ

BB

]

8880

8920

8960

9000

-4

0

4

8

12

tristating doesn’t change

vco frequency when no

transition in the data.

Untristated loop has peak

jitter

run-length times

worse than tristated loop

tristated loop

non-tristated

(simulated with ξ=100, ptransition = 50% )

(93)

Public Domain Tools

Linux (a free UNIX clone for INTEL x86 platforms) - Excellent

platform for creative circuit design and simulation. See

www.cheapbytes.com

for a $1.95 distribution CD.

Homepage:

http://www.linux.org/

Documentation:

http://sunsite.unc.edu/mdw/LDP

Scientific Apps:

http://SAL.KachinaTech.COM/index.shtml

ACS Circuit simulator

EOS Electronic Object Simulator

Berkeley SPICE 3f5 (bsim3 models)

SCEPTRE

(94)

Summary

A lot of complexity for a “simple” system...

It’s more of an art than a science

After understanding:

the components,

the block diagrams,

the problems and the attempted solutions,

and the unique needs for your application,

Then it’s time to have fun!

(95)

7%

26%

3%

23%

3%

(disk 3%)

0.5%

numbers estimated from ~250 attendees at February 1997 ISSCC CDR tutorial

CDR Application Space

Telecom

Datacom

Copper

Radio

Fiber

IR

coax

tp

pcb

Other

(96)

CDR Design Checklist

RCW 01/15/97, updated 9/18/98

1) Eye Margin

how much noise can be added to the input signal while maintaining target BER?

(voltage margin)

How far can clock phase alignment be varied while maintaining target BER? (phase

margin)

how much does the static phase error vary versus frequency, temperature and

process variation?

Is input amplifier gain, noise and offset sufficient?

2) Jitter Characteristics

what is the jitter generation? (VCO phase noise, etc)

what is the jitter transfer function? (peaking and bandwidth)

what is the jitter tracking tolerance versus frequency?

3) Pattern Dependency

how do long runlengths affect system performance?

is bandwidth sufficient for individual isolated bit pulses?

(97)

are there other problematic data patterns? (resonances)

does PLL bandwidth, jitter, and stability change versus transition density?

4) Acquisition Time

what is the initial, power-on lock time?

what is the phase-lock aquisition time when input source is changed?

5) How is precision achieved?

are external capacitors, inductors needed?

does the CDR need an external reference frequency?

are laser-trimming or highly precise IC processes required?

6) Input/output impedance

Is S11/S22 (input/output impedance) maintained across the frequency band?

are reflections large enough to lead to eye closure and pattern dependency?

is >15 dB return loss maintained across the band?

7) Power Supply

does the CDR create power supply noise?

how sensitive is the CDR to supply noise?

(98)

what is the total static power dissipation?

what is the die temperature under worse case conditions?

8) False lock susceptibility

can false lock occur with particular data patterns?

are false lock conditions be detected and eliminated?

does the phase detector have VCO frequency leakage that can cause injection

locking?

can the VCO run faster than the phase/frequency detector can operate? (another

“killer”)

(99)

References

[Ale75] Alexander, J. D. H., Clock Recovery from Random Binary Signals, Electronics Letters 11, 22 (30th October 1975), 541-542. {binary quantized phase detector}.

[AFD87] Andrews, G. E., D. C. Farley, S. H. Dravitz, A. W. Schelling, P. C. Davis and L. G. McAfee, A 300Mb/s Clock Recovery and Data Retiming System, ISSCC Digest of Technical Papers, 1987, 188-189. {SAW Filter Clock Recovery with emphasis on phase alignment problem}.

[Arm83] Armitage, C. B., SAW Filter Retiming in the AT&T 432 Mb/s Lightwave Regenerator, Conference Proceedings: AT&T Bell Labs.,

Holmdel, NJ, USA, September 3-6, 1984, 102-103. {matches tempco of SAW to tempco of electronics.}.

[Baa86] Baack, C., Optical Wide Band Transmission Systems, CRC Press Inc., 1986. {example of PLL for clock recovery}.

[Buc92] Buchwald et al., A., A 6GHz Integrated Phase-Locked Loop using AlGaAs/GaAs Heterojunction Bipolar Transistors, ISSCC Digest

of Technical Papers, 1992, 98,99,253. {Frequency multiplying ring oscillator}.

[Byr63] Byrne et al., C. J., Systematic Jitter in Chain of Digital Regenerators, The Bell System Technical Journal, November 1963, 2679. {clock extraction by filtering}.

[CCI90] CCITT, Digital Line systems based on the synchronous digital hierarchy for use on optical fiber cables, CCITT G.958, 1990. {SONET Payload test patterns regenerator scrambling}.

[Car56] Carter, R. O., Low-Disparity Binary Coding System, Electronics Letters 1, 3 (May, 1956), 67-68. {conditional inversion data encod-ing disparity}.

[Cho92] Chona, F. M. R., Draft Standard, SONET inter-office and intra-office line jitter re., T1X1.3, May 11, 1992. {Standards SONET jitter}. [Con84] Connor et al., P. O., A Monolithic Multigigabit/Second DCFL GaAs Decision Circuit, IEEE Electron Device Letters EDL-5, 7 (July

1984), 226-227. {GaAs Fet decision circuit example}.

[Cor79] Cordell et al., R. R., A 50MHz Phase and Frequency Locked Loop, IEEE Journal of Solid State Circuits SC-14, 6 (December 1979), 1003-1009. {quadricorrellator phase detector, Tunable LC Oscillator}.

[DR78] D’Andrea, N. A. and F. Russo, A Binary Quantized Digital Phase Locked Loop: A Graphical Analysis, IEEE Transactions on

Com-munications COM-26, 9 (September 1978), 1355-1364. {Analysis of BB loop}.

[DeV91] DeVito et al., L., A 52 MHz and 155MHz Clock-Recovery PLL, ISSCC Digest of Technical Papers, February 13-15, 1991, 142, 143, 306. {multivibrator example, Negative resistor chargepump, rotational freq.det.}.

[Den88] Den Dulk, R. C., Digital Fast Acquisition Method for Phase-Lock Loops, Electronics Letters 24, 17 (18th August 1988), 1079-1080. {2 order of magnitude locking speed-up with fancy slip detector & charge pump}.

[EnA87] Enam, S. K. and A. A. Abidi, Decision and clock Recovery Circuits for Gigahertz Optical Fiber Receivers in Silicon NMOS, Journal

of Lightwave Technology LT-5, 3 (March 1987), 367-372. {MOS tunable monolithic ring oscillator example - Some clever circuit

(100)

[EnA92] Enam, S. K. and A. A. Abidi, MOS Decision and Clock Recovery Circuits for Gb/s Optical-Fiber Receivers, ISSCC Digest of

Techni-cal Papers, 1992, 96,97,253. {quadratic phase detector} {MOS decision circuit example}.

[FHH84] Faulkner, D. W., I. Hawker, R. J. Hawkins and A. Stevenson, An Integrated Regenerator for High Speed Optical Fiber Transmission Systems, IEE Conference Proceedings (November 30 - December 1, 1983) 8-13. {uses rectifier/SAW combo}.

[FLS63] Feynman, R., R. B. Leighton and M. Sands, The Feynman Lectures on Physics, Addison-Wesley Publishing Company, 1963. {Short, simple presentation of timestep analysis for planetary motion}.

[FMW97] Fiedler, A., R. Mactaggart, J. Welch and S. Krishnan, A 1.0625Gbps Transceiver with 2x-Oversampling and Transmit Signal Pre-Emphasis, ISSCC Digest of Technical Papers 40 (February 6-8 1997), 238,239,464. {transmit pre-emphasis, skin loss equalizer}. [Gal94] Galton, I., Higher-order Delta-Sigma Frequency-to-Digital Conversion, Proceedings of IEEE International Symposium on Circuits

and Systems (May 30 - June 2, 1994) 441-444 {Delta-Sigma BB loops phase tracking frequency digitalization PLL}.

[Gal95] Galton, I., Analog-Input Digital Phase-Locked Loops for Precise Frequency and Phase Demodulation, Transactions on Circuits and

Systems-II: Analog and Digital Signal Processing 42, 10 (October 1995), 621-630. {good discussion of delta-sigma analysis of BB

PLL’s}.

[Gar79] Gardner, F. M., Phaselock Techniques, Second Edition, John Wiley and Sons, Inc., 1979. {example of using exor-gate to generate clock component from NRZ data}.

[Gla85] Glance, B. S., New Phase-Lock Loop Circuit Providing Very Fast Acquistion Time, IEEE Transactions on Microwave Theory and

Techniques MTT-33, 9 (September 1985), 747-754. {adds non-linear time constant to speed PLL acquisition by 2 orders of mag.}.

[Gri69] Griffiths, J. M., Binary Code Suitable for Line Transmission, Electronics Letters 5, 4 (February 20, 1969), 79-81. {5b/6b encoding example}.

[GMP78] Gruber, J., P. Marten, R. Petschacher and P. Russer, Electronic Circuits for High Bit Rate Digital Fiber Optic Communication Sys-tems, IEEE Transactions on Communications COM-26, 7 (July 1978), 1088-1098.

[Gup75] Gupta, S. C., Phase-Locked Loops, Proceedings of the IEEE 63, 2 (February 1975), 291-306. {Good systematic outline survey of communication-type PLL’s}.

[Hau91a] Hauenschild et al., J., A Silicon Bipolar Decision Circuit Operating up to 15Gb/s, IEEE Journal of Solid State Circuits 26, No.11 (November 1991), 1734-1736. {Si bipolar decision circuit example}.

[Hau91b] Hauser, M. W., Principles of Oversampling A/D Conversion, J. Audio Eng. So. Vol 39, 1/2 (Jan/February 1991), 3-26. {excellent tuto-rial on Delta Sigma AD, Oversampling, noiseshaping}.

[HeS88] Hein, J. P. and J. W. Scott, z-Domain Model for Discrete-Time PLL’s, IEEE Transactions on Circuits and Systems 35, 11 (November 1988), 1393-1400. {good discussion of using z-transforms in PLL analysis}.

[Hog85] Hogge, Jr., C. R., A Self Correcting Clock Recovery Circuit, IEEE Transactions on Electron Devices ED-32, 12 (December 1985), 2704-2706. {Original Hogge detector, interesting phase detector idea...}.

[Hor92] Hornak, T., Interface Electronics for Fiber Optic Computer Links, Intensive Course on Practical Aspects in Analog IC Design, Lau-sanne, Switzerland, June 29-July 10, 1992. {Excellent overview of components for serial optical data transmission}.

[Hu93] Hu, T. and P. Gray, A Monolithic 480 Mb/s AGC/Decision/Clock Recovery Circuit in 1.2 um CMOS, IEEE Journal of Solid State

(101)

[Kas85] Kasper et al., B. L., SAGM Avalanche Photodiode Optical Receiver for 2 Gbit/s and 4 Gbit/s, Electronic Letters 21, 21 (10th October 1985), 982-984. {eye diagram}.

[KWG94] Kim, B., T. C. Weigandt and P. R. Gray, PLL/DLL System Noise Analysis for Low Jitter Clock Synthesizer Design, ISCAS

proceed-ings, May 30 - June 2, 1994, 31-34. {Excellent and Intuitive discussion of Jitter in Ring Oscillators}.

[Lai90] Lai, B., Decision Circuit Lowers Transmission Bit Error Rates, Microwaves and RF, July 1990, 118- 122. {Si bipolar decision circuit example}.

[LaW91] Lai, B. and R. C. Walker, A Monolithic 622Mb/s Clock Extraction Data Retiming Circuit, ISSCC Digest of Technical Papers 34 (Feb-ruary 13-15, 1991), 144,145. {binary quantized phase detector}.

[Lam93] Lam, V. M. T., Microwave Oscillator Phase Noise Reduction Using Negative Resistance Compensation, Electronics Letters 29, 4 (February 18th, 1993), 379-340. {Leeson negative resistance phase noise second harmonic IC}.

[LiC81] Lindsey, W. C. and C. M. Chie, A Survey of Digital Phase-Locked Loops, Proceeding of the IEEE 69, 4 (April 1981), 410-431. {Pre-sents a good taxonomy of digital PLLs}.

[Mac87] MacDougall, M. H., Simulating Computer Systems - Techniques and Tools, The MIT Press, Cambridge, Massachusetts, 1987. {description and source code for event driven simulator}.

[McG90] McGaughey, J. T., Convert NRZ format to Biphase, Electronic Design, April 12, 1990, 86. {biphase example}.

[OFC84] O’Connor, P., P. G. Flahive, W. Clemetson, R. L. Panock, S. H. Wemple, S. C. Shunk and D. P. Takahashi, A Monolithic Multigigabit/ Second DCFL GaAs Decision Circuit, IEEE Electron Device Letters EDL-5, 7 (July 1984),. {2.4 GHz ED GaAs Mesfet Flip-flop w/ input buffer amp}.

[Ofe89] Ofek, Y., The Conservative Code for Bit Synchronization, IEEE Transactions on Communications, 1989. {conserves transition num-ber uses divider for clock recovery}.

[OhT83] Ohta, N. and T. Takada, High Speed GaAs SCFL Monolithic Integrated Decision Circuit for Gb/s Optical Repeaters, Electronics

Let-ters, September 1983. {GaAs Decision Circuit}.

[Par89] Park et al., M. S., Novel Regeneration Having Simple Clock Extraction and Automatic Phase Controlled Retiming Circuit, Electronic

Letters 25 (January 1989), 83-84. {clock extraction by filtering}.

[Pet88] Petrovic, R., Low Redundancy Optical Fiber Line Code, Journal of Optical Communication 9, 3 (1988), 108-111. {13B/14B code design}.

[RaO91] Ransijn, H. and P. O’Connor, A PLL-Based 2.5-Gb/s GaAs Clock and Data Regenerator IC, JSSC 26, 10 (October 1991), 1345-1353. {Rotational frequency detector, Limiting Amp, Jitter Transfer Measurement}.

[Raz96a] B. Razavi, ed., Monolithic phase-locked loops and clock recovery circuits: theory and design, IEEE Press, 1996. {A volume of selected reprints with bibliography}.

[Raz96b] Razavi, B., Monolithic Phase-Locked Loops, ISSCC Tutorial, San Francisco, CA, February 7, 1996. {Good overview of non-data-driven PLL theory}.

[ReG73] Reddy, C. P. and S. C. Gupta, A Class of All-Digital Phase Locked Loops: Modeling and Analysis, IEEE Transactions on industrial

(102)

[RCF84] Rosenberg, R. L., C. Chamzas and D. A. Fishman, Timing Recovery with SAW Transversal Filters in the Regenerators of Undersea Long-Haul Fiber Transmission Systems, Journal of Lightwave Technology LT-2, 6 (December 1984), 917-925. {discusses jitter accu-mulation}.

[Ros84] Rosenberg et al., R. L., Timing Recovery with SAW Transversal filters in the Regenerators of Undersea Long-haul Fiber Transmis-sion Systems, IEEE Journal of Lightwave Technology LT-2, 6 (December 1984), 917-925. {clock extraction by SAW}.

[Ros85] Ross, F. E., An Overview of FDDI: the Fiber Distributed Data Interface, IEEE Journal on Selected Areas in Communications 7, 7 (September 1985), 1046, Table 1. {4b/5b encoding example, example of frame synch characters}.

[RHF90] Ross, F. E., J. R. Hamstra and R. L. Fink, FDDI - A LAN among MANs, ACM Computer Communications Review, July 1990, 16-31. {4b/5b encoding example}.

[Rou76] Rousseau, M., Block Codes for Optical-Fibre Communication, Electronics Letters 12, 18 (2nd September 1976), 478-479. {mBnB code discussion, run length limits, power spectra, 5b6b recommended}.

[RoM77] Roza, E. and P. W. Millenaar, An Experimental 560 MBit/s Repeater with Integrated Circuits, IEEE Transactions on Communications

COM-25, 9 (September 1977),. {coax-based. good comparison of PLL vs filter-type clock extraction}.

[RuG91] Runge, K. and J. L. Gimlett, 20Gb/s AlGaAs HBT Decision Circuit IC, Electronics Letters 27, 25 (5th December 1991), 2376-2378. {GaAs HBT decision circuit example}.

[Run91] Runge et al., K., Silicon Bipolar Integrated Circuits for Multi-Gb/s Optical Communication Systems, IEEE Journal on Selected Areas

in Communications 9, 5 (June 1991), 640. {Si bipolar decision circuit example}.

[San82] Sandera, L., Improve Datacomm Links by Using Manchester Code, EDN, February 17, 1982, 155-162. {manchester coding exam-ple}.

[Shi87] Shin et al., D., Selfcorrecting Clock Recovery Circuit with Improved Jitter Performance, Electronics Letters 23, 3 (29th January 1987), 110-111. {Improved Hogge detector}.

[SyA86] Syed, K. E. and A. A. Abidi, Gigahertz Voltage Controlled Oscillator, Electronics Letters 22 (June 5, 1986), 677-679. {MOS tunable monolithic ring oscillator example}.

[TrV89] Trischitta, P. R. and E. L. Varma, Jitter in digital transmission systems, Artech House, Inc., 1989. {good overview of jitter (textbook) ISBN 0-89006-248-X}.

[Wal89] Walker, R. C., Fully Integrated High Speed Voltage Controlled Ring Oscillator, U.S. Patent 4,884,041, Granted Nov. 28, 1989. {Si bipolar tunable monolithic ring oscillator example}.

[WHY91] Walker, R. C., T. Hornak, C. Yen, J. Doernberg and K. H. Springer, A 1.5Gb/s Link Interface Chipset for Computer Data Transmis-sion, IEEE Journal on Selected Areas in Communications 9, 5 (June 1991), 698-703. {binary quantized phase detector with master transition}.

[WWS92] Walker, R., J. Wu, C. Stout, B. Lai, C. Yen, T. Hornak and P. Petruno, A 2-Chip 1.5Gb/s Bus-Oriented Serial Link Interface, ISSCC

Digest of Technical Papers 35 (February 19-21 1992), 226,227,291. {MT Code, Ring Osc} binary quantized phase detector with

mas-ter transition}.

[WSY97] Walker, R., C. Stout and C. Yen, A 2.488Gb/s Si-Bipolar Cloc k and Data Recovery IC with Robust Loss of Signal Detection, ISSCC

(103)

[WHK98] Walker, R. C., K. Hsieh, T. A. Knotts and C. Yen, A 10Gb/s Si-Bipolar TX/RX Chipset for Computer Data Transmission, ISSCC

Digest of Technical Papers 41 (February 5-7 1998), 302,303,450. {multi-phase architecture, 8-phase VCO, ft-doubler amplifier,

bb-loop}.

[WKG94] Weigandt, T. C., B. Kim and P. R. Gray, Analysis of Timing Jitter in CMOS Ring Oscillators, ISCAS proceedings, May 30 - June 2,

1994. {Excellent and Intuitive discussion of Jitter in Ring Oscillators}.

[WiF83] Widmar, A. X. and P. A. Franaszek, A DC Balanced, partitioned-Block 8B/10B Transmission Code, IBM Journal of Research and

Development 27, 5 (September 1983), 440-451. {8b/10b encoding example - Precursor to Fiber Channel’s 8B/10B code}.

[Wu92] Wu, J. and R. C. Walker, A Bipolar 1.5Gb/s Monolithic Phase Locked Loop for Clock and Data Extraction, VLSI Circuit Symposium, Seattle, June 3-5, 1992. {positive feedback PLL loop filter}.

[YTY80] Yamada, J., J. Temmyo, S. Yoshikawa and T. Kimura, 1.6 Gbit/s Optical Receiver at 1.3um with SAW Timing Retrieval Circuit,

Elec-tronics Letters, 1980, 57-58. {basic SAW system, with discussion of power penalty for SAW phase shifts}.

[Yam80] Yamada et al., J., 1.6Gb/s Optical Receiver at 1.3um with SAW Timing Retrieval Circuit, Electronics Letters 16, 2 (17th January 1980), 57- 58. {clock extraction by SAW}.

[YFW82] Yen, C., Z. Fazarinc and R. Wheeler, Time-domain skin-effect model for transient analysis of lossy transmission lines., Proceedings

of the IEEE 70, 7 (July 1982), 750-757. {skin-effect lossy transmission line transient simulation modelling}.

[YKI84] Yoshikai, N., K. Katagiri and T. Ito, mB1C Code and its Performance in an Optical Communication System, IEEE Transactions on

References

Related documents

The collected data are then forwarded to intelligent building (IB) using the Internet where the data are thoroughly analyzed to identify abnormal and serious health

Arthroscopic debridement and/or lavage do not meet Blue Cross and Blue Shield of Alabama’s medical criteria for coverage for all other treatments of osteoarthritis of the knee..

Knee injury and Osteoarthritis Outcome Score (KOOS; means 6 standard deviations) for primary single-bundle (SB) and double-bundle (DB) anterior cruciate ligament recon-

The aim of this study was to determine whether patient char- acteristics, prosthesis type, and hospital volume affect filing a claim and receiving compensation in a no-fault

One procedure failed due to continued adverse reaction to metal wear debris and was revised to a femoral dual-mobility prosthesis retaining the metal acetabular component.. There

SITE: If the group has chosen to track data by location, you may select a ‘combined’ report or use the drop down menu to select a specific site report. TYPE: You may then

Table 7.3 Ovarian response and embryo collection (mean ±SEM) in wood bison superstimulated during the anovulatory season and

The goal of this particular effort, known as Part 1A of the QIBA vCT Roadmap 9 , is to estimate the bias and variance for radiologists estimating the 1D, 2D and