• No results found

dynamic voltage and frequency scaling

Energy Efficient Scheduling Algorithm for Applying Dynamic Voltage and Frequency Scaling to Mixed Task Set

Energy Efficient Scheduling Algorithm for Applying Dynamic Voltage and Frequency Scaling to Mixed Task Set

... dissipation. Dynamic voltage and frequency scaling (DVFS), is one of the most popular technique for reducing power dissipation and a well researched ...DVFSMTS, Dynamic Voltage ...

5

Dynamic Voltage and Frequency Scaling for Wireless Network-on-Chip

Dynamic Voltage and Frequency Scaling for Wireless Network-on-Chip

... platforms. Dynamic voltage and frequency scaling (DVFS) is a popular methodology to optimize the power usage/heat dissipation of electronic systems without significantly compromising overall ...

61

Determining the minimum energy consumption using dynamic voltage and frequency scaling

Determining the minimum energy consumption using dynamic voltage and frequency scaling

... allow voltage and frequency scaling, which enables a sys- tem to reduce CPU power and performance when the CPU is not on the critical ...such dynamic voltage and frequency ...

8

Feedback-Based Admission Control for Firm Real-Time Task Allocation with Dynamic Voltage and Frequency Scaling

Feedback-Based Admission Control for Firm Real-Time Task Allocation with Dynamic Voltage and Frequency Scaling

... value for the system. Control-theory-based mechanisms are relatively often applied to soft real-time systems [6], but this is not the case for the remaining real-time system types. For example, the Roadmap on Control of ...

20

Evaluation of dynamic voltage and frequency scaling as a differential power analysis countermeasure

Evaluation of dynamic voltage and frequency scaling as a differential power analysis countermeasure

... Random Dynamic Voltage and Frequency Scaling (RDVFS) has been proposed [11] as a DPA countermeasure, which has less area, power and performance overheads and it does not require special cell ...

6

Dynamic voltage and frequency scaling with multi-clock distribution systems on SPARC core

Dynamic voltage and frequency scaling with multi-clock distribution systems on SPARC core

... B’s frequency is reduced, while A, C and D operate at a higher ...clock frequency (lower its F/V setting) which results in a lower throughput, while DCS maintains the throughput and eliminates the hot ...

73

Dynamic voltage and frequency scaling scheme for an adaptive LDPC decoder using SNR estimation

Dynamic voltage and frequency scaling scheme for an adaptive LDPC decoder using SNR estimation

... For a given code block, the amount of power dissipa- tion was measured with respect to various SNR values, and the results are shown in Figure 10. Figure 10 shows the results when we compared the power dissipation of the ...

10

Optimal Dynamic Voltage and Frequency Scaling for
Multimedia Devices

Optimal Dynamic Voltage and Frequency Scaling for Multimedia Devices

... the scaling factors are ...the scaling factors are non-increasing. When the scaling factors have to be chosen from a finite set A, the result of the previously discussed algorithm can be rewritten to ...

94

Energy Saving Strategy Based on Profiling Milan, Kanak Khanna

Energy Saving Strategy Based on Profiling Milan, Kanak Khanna

... To minimize the impact of the increasing power consumption on the computing performance, novel schemes/strategies need to be devised to reduce the power consumption of components within a computing system mainly ...

7

Temperature and energy-aware consolidation algorithms in cloud computing

Temperature and energy-aware consolidation algorithms in cloud computing

... DthMf: Dynamic Threshold Maximum Fit; DVFS: Dynamic Voltage and Frequency Scaling; ESV: Energy and SLA Violations; FET-VC: FireFly Energy and Temperature aware based VM Consolidation; ...

16

A Survey of Dynamic Power Saving Strategies in Real Systems Sai Kiran Talamudupula

A Survey of Dynamic Power Saving Strategies in Real Systems Sai Kiran Talamudupula

... platforms. Dynamic Voltage and Frequency Scaling (DVFS) is one of the most commonly used and effective techniques to dynamically reduce power consumption based on workload ...

8

Dynamic Frequency and Voltage Scaling in Rivalrous Real-time Embedded Systems.

Dynamic Frequency and Voltage Scaling in Rivalrous Real-time Embedded Systems.

... a Dynamic Voltage and Frequency Scaling (DVFS) embedded system containing other hardware elements which, by either necessity or design, are prone to suffer ...

136

View pdf

View pdf

... improvement Dynamic Voltage Frequency Scaling concept introduced along with Digital Signal processor ...uses Dynamic Voltage and Frequency Scaling to achieve its ...

7

General Purpose Intra-Operation Dynamic Voltage Scaling.

General Purpose Intra-Operation Dynamic Voltage Scaling.

... supply voltage to an application MCU is decoupled from the supply voltage of its peripherals as shown in Figure ...of Dynamic Voltage and Frequency Scaling (DVFS) and, in effect, ...

142

Dynamic Voltage Scaling with Feedback Scheduling for Real-time Embedded Systems

Dynamic Voltage Scaling with Feedback Scheduling for Real-time Embedded Systems

... low frequency, as long as the idle period is long enough to compensate for the shutdown ...and dynamic power consumption within a unified ...a frequency scaling state and a processor sleeping ...

112

Volume 2, Issue 7, July 2013 Page 300

Volume 2, Issue 7, July 2013 Page 300

... supply voltage and clock ...processor voltage and frequency has to be reduced without violating any other ...is Dynamic Voltage Frequency Scaling (DVFS), in which the ...

7

EFFECTIVE ANALYSIS OF POWER TECHNIQUES FOR EMBEDDED SYSTEMS AND ITS APPLICATIONS

EFFECTIVE ANALYSIS OF POWER TECHNIQUES FOR EMBEDDED SYSTEMS AND ITS APPLICATIONS

... The principle goal of this research is to examine the different effective optimization techniques for reducing power consumption in embedded systems with no tradeoff with the coveted and important tasks it needs to ...

8

Dynamic Voltage and Frequency Scheduling For Mobile Devices

Dynamic Voltage and Frequency Scheduling For Mobile Devices

... static voltage-scheduling techniques for intra-task voltage-scheduling in hard real-time tasks based on the execution profile of the tasks are ...intra-task voltage/frequency scheduling for ...

6

Choose-Your-Own Adventure: A Lightweight, High-Performance Approach To Defect And Variation Mitigation In Reconfigurable Logic

Choose-Your-Own Adventure: A Lightweight, High-Performance Approach To Defect And Variation Mitigation In Reconfigurable Logic

... combination, dynamic frequency and voltage scaling (DFVS), take this knowledge- based customization a step further, adjusting the supply voltage, clock speed, or both to save power ...

235

Dynamic Voltage Scaling Aware Delay Fault Testing

Dynamic Voltage Scaling Aware Delay Fault Testing

... is dynamic voltage scaling ...clock frequency of a processor changes proportionally with the supply voltage, while the dynamic energy is proportional to the square of the ...

6

Show all 10000 documents...

Related subjects