• No results found

Wireless Network on chip

Opportunistic beamforming in wireless network-on-chip

Opportunistic beamforming in wireless network-on-chip

... Advances in integrated antennas [3], [4] and transceivers [5], [6] have led to the proposal of Wireless Network-on- Chip (WNoC) as a complement of or alternative to existing NoCs [7]. As shown in ...

5

On the nanocommunications at THz band in graphene-enabled wireless network-on-chip

On the nanocommunications at THz band in graphene-enabled wireless network-on-chip

... a chip package. To this end, this paper investigates the Wireless Network- on-Chip (WiNoC), which is enabled by graphene-based nanoantennas (GNAs) in Terahertz frequency ...the chip ...

14

On the nanocommunications at THz band in graphene-enabled wireless network-on-chip

On the nanocommunications at THz band in graphene-enabled wireless network-on-chip

... a chip package. To this end, this paper investigates the Wireless Network- on-Chip (WiNoC), which is enabled by graphene-based nanoantennas (GNAs) in Terahertz frequency ...the chip ...

15

Comparative performance evaluation of routing algorithm and topology size for wireless network-on-chip

Comparative performance evaluation of routing algorithm and topology size for wireless network-on-chip

... Mohd Shahrizal Rusli received his Ph.D in Electrical Engineering (2016), M. Eng. (Electronic and Telecommunication) (2010) and B. Eng. in Computer (2006) degree from Universiti Teknologi Malaysia (UTM), Johor. Between ...

12

Scalability of broadcast performance in wireless network-on-chip

Scalability of broadcast performance in wireless network-on-chip

... contention-free access in a flexible way, with resources that are not available in traditional wireless networks. The main reason for evaluating this scheme with unlimited resources is to motivate unconventional ...

14

Scalability of Broadcast Performance in Wireless Network-on-Chip

Scalability of Broadcast Performance in Wireless Network-on-Chip

... contention-free access in a flexible way, with resources that are not available in traditional wireless networks. The main reason for evaluating this scheme with unlimited resources is to motivate unconventional ...

14

Dynamic Voltage and Frequency Scaling for Wireless Network-on-Chip

Dynamic Voltage and Frequency Scaling for Wireless Network-on-Chip

... the wireless channel to multiple WIs in a distributed manner, token flow control is ...the wireless port of a switch then the packet is routed through the shortest available wired ...

61

Broadcast-oriented wireless network-on-chip : fundamentals and feasibility

Broadcast-oriented wireless network-on-chip : fundamentals and feasibility

... receiving Network Interface ...of wireless on-chip communication to serve this kind of traffic between distant cores [94, ...whole network, then the message is broadcast. Wireless RF ...

200

On the design of reliable hybrid wired-wireless network-on-chip architectures

On the design of reliable hybrid wired-wireless network-on-chip architectures

... less Network-on-Chip (WiNoC) has emerged as an alternative solution to the slow conventional wireline NoC design for future System-on-Chip ...combining wireless and wireline channels ...

9

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

Evaluation of temperature-performance trade-offs in wireless network-on-chip architectures

... sub-THz wireless links [20], and the inter-router wireless scalable express channel for NoC (iWISE) architecture ...on-chip wireless communication have been explored in [11] and ...the ...

73

Multicast-Aware High-Performance with Encript Wireless Network-On-Chip Architectures

Multicast-Aware High-Performance with Encript Wireless Network-On-Chip Architectures

... coherency protocols also require efficient multicast data exchange . To elaborate on this, as examples, we consider the traffic patterns associated with two different cache coherence protocols, directory and Hammer ...

8

A Real Time Wireless Network on Chip Architecture with an Efficient Gals Implementation

A Real Time Wireless Network on Chip Architecture with an Efficient Gals Implementation

... At chip level, the longest wires are only connected to two nodes which is good for ...ofaNOC-based chip is still an issue when multi-clock synchronization is ...provide chip level communication ...

11

OrthoNoC: a broadcast-oriented dual-plane wireless network-on-chip architecture

OrthoNoC: a broadcast-oriented dual-plane wireless network-on-chip architecture

... new Network-on-Chip (NoC) solutions towards greater scalability and architectural ...Particularly, wireless on-chip communication has garnered considerable attention due to its inherent ...

14

Performance Evaluation of Centralized  Reconfigurable Transmitting Power Scheme in Wireless Network-on-chip

Performance Evaluation of Centralized Reconfigurable Transmitting Power Scheme in Wireless Network-on-chip

... larger network sizes such as 256, 512 and 1,024 cores can be investigated to evaluate its performance and ...control network and other parameters that affect performance may also be included in the future ...

11

Adaptive code division multiple access protocol for wireless network-on-chip architectures

Adaptive code division multiple access protocol for wireless network-on-chip architectures

... same chip. Wired interconnects between the cores in a traditional Network- on-Chip (NoC) system, becomes a bottleneck with increase in the number of cores thereby increasing the latency and energy to ...

61

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing

... While wireless NoC architectures make it possible to integrate an increasing number of cores on the same die the many-core paradigm itself presents significant challenges pertaining to hardware trust and ...

68

Run-time transmission power reconfiguration and adaptive packet relocation in wireless network-on-chip

Run-time transmission power reconfiguration and adaptive packet relocation in wireless network-on-chip

... Previous works in the context of WiNoCs are based on fixed transmitting power regardless of the physical location of destination nodes that is able to guarantee a certain reliability level (in terms of bit error rate ...

40

Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Network-on-Chip Architecture

Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Network-on-Chip Architecture

... Designing wireless transceivers in multiple frequency bands for enhancing the performance of the NoC is a non-trivial challenge and is not scalable in the near ...is wireless token passing protocol to give ...

56

Using Proportional-Integral-Differential approach for Dynamic Traffic Prediction in Wireless Network-on-Chip

Using Proportional-Integral-Differential approach for Dynamic Traffic Prediction in Wireless Network-on-Chip

... Conclusion Wireless interconnection is envisioned as an energy efficienct communication back- bone for future multicore ...the wireless channel based on the varying demand of the ...for wireless ...

67

Wireless Chip-Scale Communications for Neural Network Accelerators

Wireless Chip-Scale Communications for Neural Network Accelerators

... the wireless bandwidth requirement suddenly drops, and the transceiver can save power for some time slots (not area, which is ...a wireless perspective, however, we usually talk about the bandwidth in terms ...

81

Show all 10000 documents...

Related subjects